Hire the best Verilog Vhdl

Top trusted freelance marketplace with premium and best Verilog Vhdl, developers, consultants, architects, programmers and tutors for hire. Outsource your project and get it done remotely by experts

Hire Verilog Vhdl

Trusted by the top innovative brands

Top Verilog Vhdl For Hire

Asim K.Verilog Vhdl, Pakistan
$13 /hr
10 Years Exp.
0 Followers
I have done my Master’s and Doctorate in Electrical Engineering from Korea Advanced Institute of Science and Technology (KAIST), South Korea, one of t...Read More
  • Verilog / VHDL
  • TensorFlow
  • Image Processing
  • Machine Learning
  • Stereoscopy
  • Assembly Language
  • Matlab
  • OpenCV
  • Python
  • C++
Muhammad T.Verilog Vhdl, Pakistan
$2 /hr
3 Years Exp.
0 Followers
I am a Computer Engineer by education and a Full Stack developer by profession and passion. I had 3+ years experience in designing front end and back-...Read More
  • Verilog / VHDL
  • Vue.js
  • MySQL Programming
  • Embedded C
  • ASP.NET Web API
  • Sql
  • PHP
  • HTML5
  • Python
  • ASP.NET
  • CSS
  • C++
Jay S.Verilog Vhdl, India
$4 /hr
2 Years Exp.
0 Followers
I am electronics and communication engineer.
  • Verilog / VHDL
  • PCB Design
  • PCB Layout
Fasahat H.Verilog Vhdl, Pakistan
$14 /hr
12 Years Exp.
0 Followers
I have been working in a FPGA outsourced job company for the last 12 years with experience in RTL design in FPGA(s) from Stratix II all the way to the...Read More
  • Verilog / VHDL
  • SystemVerilog
  • RTL
  • Field-Programmable Gate Array (FPGA)
  • Digital Signal Processing
Bhuwan B.Verilog Vhdl, India
$1 /hr
3 Years Exp.
0 Followers
I am an electronics design automation engineer from 3 years in livewire.
  • Verilog / VHDL
  • Arduino
  • Image Processing
  • PCB Design
  • Internet Of Things
  • RaspberryPi
  • Field-Programmable Gate Array (FPGA)
  • Microcontroller Programming
  • Simulink
  • Embedded Systems
  • Matlab
  • Python
Rintu J.Verilog Vhdl, India
$2 /hr
2 Years Exp.
0 Followers
I am a fpga designer having 2 year experience.
  • Verilog / VHDL
  • Arduino
  • Field-Programmable Gate Array (FPGA)
  • Xilinx
  • Cadence Platform
Harsha Y.Verilog Vhdl, India
$12 /hr
1 Years Exp.
1 Followers
I am certified with Advanced vlsi design and verification as a trainee engineer at Maven silicon,Bangalore.I had good knowledge in digital,verilog,sys...Read More
  • Verilog / VHDL
Kuldeep M.Verilog Vhdl, India
$7 /hr
1 Years Exp.
0 Followers
I am computer science engineer
  • Verilog / VHDL
Pruthvi Verilog Vhdl, India
$12 /hr
0 Years Exp.
0 Followers
DRDL internship on antenna ,project in verilog to find the blood group of child knowing parents blood group . Interested to work on any project relat...Read More
  • Verilog / VHDL
Tanvir Shahriar S.Verilog Vhdl, Bangladesh
$6 /hr
0 Years Exp.
0 Followers
Self-motivated, passionate and Computer Hardware aspirant, qualified with Bachelor of Science in ComputerEngineering, have received some training in A...Read More
  • Verilog / VHDL
  • PCB Design
  • Field-Programmable Gate Array (FPGA)
  • Altium Designer
  • Linux
  • Matlab
  • C++
Nirosha R.Verilog Vhdl, India
$3 /hr
0 Years Exp.
0 Followers
I was graduated from IIITDM Kurnool and had a IEEE conference papers on approximate architectures using verilog.
  • Verilog / VHDL
  • Image Processing
  • Matlab
Kinshuk K.Verilog Vhdl, India
$2 /hr
2 Years Exp.
0 Followers
I am a Master's student in VLSI System, I am also working as a teaching assistant to faculties and I take laboratory and classroom sessions for u...Read More
  • Verilog / VHDL
  • Analog Electronics
  • Electronic Design
  • Analog Circuits
  • Digital Engineering
  • Digital Electronics
  • Mathematics
  • Matlab
  • Physics
Upadhyay H.Verilog Vhdl, India
$26 /hr
2 Years Exp.
0 Followers
Completed my B.E and M.tch in Electronics and communication(ECE) with specialized on subject - technology Embedded Systems, VLSI. Worked on hardware l...Read More
  • Verilog / VHDL
  • C Programming
  • Field-Programmable Gate Array (FPGA)
  • Embedded Systems
Taamouch A.Verilog Vhdl, Morocco
$17 /hr
0 Years Exp.
0 Followers
✤ ✤ Ph.D. student and Embedded Systems engineer. I am very good at: - Design of Control PCB based on Arduino, Microcontroller and FPGA Device - VHDL...Read More
  • Verilog / VHDL
  • VHDL
  • PCB Design
  • Field-Programmable Gate Array (FPGA)
  • PCB Layout
  • Matlab
  • Python
Venkatesh P.Verilog Vhdl, India
$4 /hr
1 Years Exp.
0 Followers
//// VLSI design engineer I completed B.E ECE , PG dip VLSI ( NATINONAL INSTITUTE OF ELECTRONICS AND INFORMATION TECHNOLOGY). working as VLSI design...Read More
  • Verilog / VHDL
  • Screenwriting
Reshma K.Verilog Vhdl, India
$12 /hr
0 Years Exp.
0 Followers
I am a machine learning beginner and I have completed many projects on it. Currently I am working in an AI company.
  • Verilog / VHDL
  • Machine Learning
  • Transcription
  • Python
Salwa H.Verilog Vhdl, Lebanon
$4 /hr
0 Years Exp.
0 Followers
I am a computer science student with intermediate skills in programming. I am great at meeting deadlines, and I am passionate about what I work.
  • Verilog / VHDL
  • Prolog
  • Web Programming
  • Javascript
  • Object Oriented Programming (OOP)
  • CPP Programming
  • Computer Science
  • PHP
  • Java
  • HTML5
  • Mysql
  • CSS
Devinda K.Verilog Vhdl, Brazil
$18 /hr
1 Years Exp.
0 Followers
I am a certified in Electrical, Electronic and Artificial Intelligence engineer and hold a Honors Degree in Electrical and Electronic Engineering from...Read More
  • Verilog / VHDL
  • SystemVerilog
  • Analog Electronics
  • Electronic Design
  • Field-Programmable Gate Array (FPGA)
  • PLC Programming
  • Robotics
  • Microchip
  • CAD
Thangapandiyan M.Verilog Vhdl, India
$2 /hr
5 Years Exp.
0 Followers
I am a M.TECH VLSI design student and also worked in a student project development center
  • Verilog / VHDL
Bala S.Verilog Vhdl, India
$12 /hr
6 Years Exp.
0 Followers
I am having six years of hands-on experience in working with FPGA platform. I am having 6 years of teaching experience in an engineering college in th...Read More
  • Verilog / VHDL
  • Field-Programmable Gate Array (FPGA)
Kasun M.Verilog Vhdl, Sri Lanka
$16 /hr
4 Years Exp.
0 Followers
I am a Senior Research and Development Engineer with 4+ years of experience in EDA industry.
  • Verilog / VHDL
  • SystemVerilog
  • Python
  • C++
Pramod H.Verilog Vhdl, Sri Lanka
$42 /hr
0 Years Exp.
0 Followers
I'm a dedicated individual with a strong foundation in electronics. With experience in project leadership and team management, I have successfull...Read More
  • Verilog / VHDL
  • Analog Electronics
  • Electronic Design
  • Electronics
  • Circuit Design
  • AutoCAD
  • Digital Electronics
  • Embedded C
  • Embedded Systems
Sara Z.Verilog Vhdl, Pakistan
$20 /hr
3 Years Exp.
0 Followers
I am an FPGA developer with 3 years of experience in hardware designing and development.
  • Verilog / VHDL
  • Field-Programmable Gate Array (FPGA)
  • Digital Signal Processing
  • Matlab
Ahmad H.Verilog Vhdl, Pakistan
$10 /hr
1 Years Exp.
0 Followers
I am a recent graduate as an electrical and electronics engineer with a one year experience in FPGA programming. I have done multiple tasks as a freel...Read More
  • Verilog/VHDL
  • Verilog / VHDL
  • SystemVerilog
  • IIoT
  • Field-Programmable Gate Array (FPGA)
  • C Programming
  • Photoshop
  • Embedded Systems
  • C++
Looking for more Verilog Vhdl? join Toogit

Get Started
 

Verilog Vhdl Ratings

Trust RatingTrust Score 4.6
656 reviews

Reviews From Verilog Vhdl

Nice Platform for freelance work. Amazing project for individual persons.
Muhammad Z.
WordPress Websites | Canva Designer

How it works

Post a Verilog Vhdl Job

List your project requirement with us. Anything you want to get developed or want to add to your business. Toogit connects you to top Verilog Vhdl Experts around the world.

Hire Verilog Vhdl Experts

Invite and interview your preferred talent to get work done. Toogit Instant Connect helps you if you need your project started immediately.

Work With Verilog Vhdl Experts

Define Tasks, use Toogit's powerful work management tool, stay updated with real time activity logs

Pay To Verilog Vhdl Experts

Review work, track working hours. Pay to Verilog Vhdl Experts only if you are 100% satisfied with the work done.